欢迎您访问 最编程 本站为您分享编程语言代码,编程技术文章!
您现在的位置是: 首页

简易原码一位乘法器的设计方案

最编程 2024-08-01 09:03:13
...

一.设计要求

利用logisim平台中现有运算部件构建一个原码一位乘法器,掌握寄存器电路设计和一位乘法的基本原理,实现8×8无符号数乘法器。
具体内容:在 alu.circ 文件中原码一位乘法器子电路中增加控制电路和数据通路使得该电路能自动完成 8 位无符号数的一位乘法运算,设置引脚初始值,然后驱动时钟自动仿真,电路可自动完成运算,运算结束结果传输到输出引脚,运算结束时电路应该自动停止。

二.方案设计

例如4×4结果为8位, X的值为1101,Y的数值为1011,求X·Y数值的过程如下:
在这里插入图片描述
8×8无符号数乘法器结果为16位,列出部分积,被乘数,乘数;部分及初始值为0000,当乘数最低位为1的时候,部分积=部分积+被乘数,而当最低位为0时,部分积=部分积+0;然后,部分积向右移位,前面空位补0,乘数也右移一位,8次之后得到最终结果。
相关电路图连接:
在这里插入图片描述
多路选择器和分线器:用来控制部分积加0还是加被乘数
在这里插入图片描述
8组分线器:用来控制部分积和乘数的右移
在这里插入图片描述
两个寄存器:部分积和乘数的保存
在这里插入图片描述

三.实验步骤

  1. 首先掌握原码一位乘法器的原理,本质是计算被乘数与乘数的各位相乘得到的部分积,然后将所有的部分积相加。
  2. 然后根据所要实现的功能进行部件的选择
  3. 部件选择完毕后,针对所需要的操作将电路连接起来
  4. 测试和分析

四.故障与调试

对边界的控制处理,第一个脉冲应该是将初始的乘数b送进寄存器里,到第八个脉冲时还没有结束,到第九个脉冲才结束。
在这里插入图片描述

五.测试与分析请添加图片描述

六.实验总结

通过设计原码一位乘法器实验,它独特运算方式,计算被乘数与乘数的各位相乘得到的部分积,然后将所有的部分积相加,部件方面的选择基于所要实现的功能和操作,寄存器、多路选择器、还有比较器分线器等;实验进行过程中往往容易忽略边界问题的处理,边界问题处理不得当将会造成结果错误,因此在完成相关步骤后,通常要进行边界验证。